fix: avoid cascading tasks stealing under back pressure
[poolifier.git] / src / pools / selection-strategies / interleaved-weighted-round-robin-worker-choice-strategy.ts
index 0fc1e0da3da3e8319cb71e90308e324e64fa82bd..86a7f5ca8f801c6b5e98dc380e9ee5dea80d37e3 100644 (file)
@@ -60,7 +60,7 @@ export class InterleavedWeightedRoundRobinWorkerChoiceStrategy<
 
   /** @inheritDoc */
   public choose (): number | undefined {
-    let roundId: number = this.roundId
+    let roundId!: number
     let workerNodeId: number | undefined
     for (
       let roundIndex = this.roundId;